欢迎来到010在线作文网!

led实习报告样本(8)

实习报告 时间:2021-08-31 手机版

  1.4.1 主要特性:

  ·与MCS-51 兼容

  ·4K字节可编程闪烁存储器

  寿命:1000写/擦循环

  数据保留时间:10年

  ·全静态工作:0Hz-24Hz

  ·三级程序存储器锁定

  ·128*8位内部RAM

  ·32可编程I/O线

  ·两个16位定时器/计数器

  ·5个中断源

  ·可编程串行通道

  ·低功耗的闲置和掉电模式

  ·片内振荡器和时钟电路

  1.4.2 管脚说明:

  VCC:供电电压。

  GND:接地。

  P0口:P0口为一个8位漏级开路双向I/O口,每脚可吸收8TTL门。当P1口的管脚第一次写1时,被定义为高阻输入。P0能够用于外部程序数据存储器,它可以被定义为数据/地址的第八位。在FIASH编程时,P0 口作为原码输入口,当FIASH进行校验时,P0输出原码,此时P0外部必须被拉高。

  P1口:P1口是一个内部提供上拉的8位双向I/O口,P1口缓冲器能接收输出4TTL门电流。P1口管脚写入1后,被内部上拉为高,可用作输入,P1口被外部下拉为低电平时,将输出,这是由于内部上拉的缘故。在FLASH编程和校验时,P1口作为第八位地址接收。

  P2口:P2口为一个内部上拉电阻的8位双向I/O口,P2口缓冲器可接收,输出4个TTL门电流,当P2口被写“1”时,其管脚被内部上拉电阻拉高,且作为输入。并因此作为输入时,P2口的管脚被外部拉低,将输出电流。这是由于内部上拉的缘故。P2口当用于外部程序存储器或16位地址外部数据存储器进行存取时,P2口输出地址的高八位。在给出地址“1”时,它利用内部上拉优势,当对外部八位地址数据存储器进行读写时,P2口输出其特殊功能寄存器的内容。P2口在FLASH编程和校验时接收高八位地址信号和控制信号。

  P3口:P3口管脚是8个带内部上拉电阻的双向I/O口,可接收输出4个TTL门电流。当P3口写入“1”后,它们被内部上拉为高电平,并用作输入。作为输入,由于外部下拉为低电平,P3口将输出电流(ILL)这是由于上拉的缘故。

  P3口也可作为AT89C51的一些特殊功能口,如下表所示:

  口管脚 备选功能

  P3.0 RXD(串行输入口)

  P3.1 TXD(串行输出口)

  P3.2 /INT0(外部中断0)

  P3.3 /INT1(外部中断1)

  P3.4 T0(记时器0外部输入)

  P3.5 T1(记时器1外部输入)

  P3.6 /WR(外部数据存储器写选通)

  P3.7 /RD(外部数据存储器读选通)

  P3口同时为闪烁编程和编程校验接收一些控制信号。

  RST:复位输入。当振荡器复位器件时,要保持RST脚两个机器周期的高电平时间。

  ALE/PROG:当访问外部存储器时,地址锁存允许的输出电平用于锁存地址的地位字节。在FLASH编程期间,此引脚用于输入编程脉冲。在平时,ALE端以不变的频率周期输出正脉冲信号,此频率为振荡器频率的1/6。因此它可用作对外部输出的脉冲或用于定时目的。然而要注意的是:每当用作外部数据存储器时,将跳过一个ALE脉冲。如想禁止ALE的输出可在SFR8EH地址上置0。此时, ALE只有在执行MOVX,MOVC指令是ALE才起作用。另外,该引脚被略微拉高。如果微处理器在外部执行状态ALE禁止,置位无效。

  /PSEN:外部程序存储器的选通信号。在由外部程序存储器取指期间,每个机器周期两次/PSEN有效。但在访问外部数据存储器时,这两次有效的/PSEN信号将不出现。

  /EA/VPP:当/EA保持低电平时,则在此期间外部程序存储器(0000H-FFFFH),不管是否有内部程序存储器。注意加密方式1时,/EA将内部锁定为RESET;当/EA端保持高电平时,此间内部程序存储器。在FLASH编程期间,此引脚也用于施加12V编程电源(VPP)。

  XTAL1:反向振荡放大器的输入及内部时钟工作电路的输入。

  XTAL2:来自反向振荡器的输出。

  1.4.3 振荡器特性:

  篇八:毕业实习报告格式(光电空白)

  光电工程重庆国际半导体学院

  毕业实习报告

  题目 _基于VHDL洗衣机控制系统设计

  系 别 ___ 专___________ 班 级 _____________ 学 号 ____________ 姓 名 _______________ 指导评阅教师_____________ 成 绩 _______________________ 填表时间年 月 日

  篇九:8X8滚动汉字LED显示器实习报告

  电子设计自动化

  专 业:

  班 级: 姓 名: 学 号: 指导教师: 实习日期:

  目录

  一、 实验题目………………..……………3 二、 实验目的……………………………..3 三、实验原理………………….………….3

  1、 实验采用EDA点阵显示汉字原理…………….…………….3 2、 硬件原理图……………………………………………………4

  四.模块设计………………………..……….4 1.8进制计器……………………………………….………………..4 2.18进制计数器……………………………………………………4 3.字显示控制模块………………………………..………………….5 五、 调试过程…………………………. 11 六、 实习心得……………………………11 七、 参考文献………………………...….11

  一、 实验题目:滚动汉字LED显示器 二、 实习目的

  1)硬件实训目的:

  1.熟悉EDA实验箱的基本工作原理。 2.熟悉并掌握EDA实验箱各个模式的功能。 3.提高学生的动手能力。 2)软件实训目的:

  1. 熟悉并掌握quartus‖软件的使用。

  2. 熟练的使用原理图输入设计方法,VHDL语言编写程序,进一步了解和掌握各个程序语言,提高编程的熟练程度。

  3. 掌握基本器件设计的方法思想,如计数器;掌握点阵LED显示字的基本原理。

  4. 拓宽学生知识面,增强工程意识,培养学生的分析和解决实际问题的能力。

  5. 提高学生的动手能历。 三、 实验原理:

  1、实验采用EDA点阵显示汉字原理:

  以8×8的LED点阵为例,8×8的LED点阵是由64个发光二极管按矩阵形式排列而成,每一行上的发光管有一个公共的阳极(或阴极),每一列上的发光管有一个公共的阴极(或阳极),一般按动态扫描方式显示汉字或图形。扫描分为点扫描、行扫描和列扫描三种方式。行扫描需要按行抽取字型码,列扫描则需要按列抽取字型码。为满足视觉暂留要求,若使用点扫描方式,其扫描频率必须大于16×64=1 024Hz,周期小于1ms。若使用行或列扫描,则频率必须大于16×8=128Hz,周期小于7.8ms。由于所用实验板上提供有1 kHz的时钟,本例设计中利用该时钟进行扫描,使每行扫描时间为1 ms,实验结果显示亮度合适。现以行扫描为例简单说明动态扫描显示的原理。图1给出汉字“热”在点阵为列共阳时的行字模。工作时先将要扫描行的点阵字模从各列上输出,再让译码器选中(扫描)该行,使本行得以显示,接着再送下一行数据,再使下一行有效,直到8行全被扫描一遍。至此,一幅完整的文  字信息就显现出来,然后再反复扫描这8行直至显示新的信息。以下设计采用行扫描方式实现滚动显示。

  2、硬件原理图:

  整个电路由4部分组成,即:8进制计数器、18进制计数器、字显示控制模块、138译码器。

  四、 模块设计: 1. 8进制计数器:

  8进制计数器主要有两个功能,首先作为138译码器的输入,第二个是作为字显示模块的控制信号,控制选定的列扫描信号,程序如下: Library ieee;

  USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_8 IS

  Port(clk,re:in std_logic;

  q:out std_logic_vector(2 downto 0)); end js_8;

  architecture count_8 of js_8 is

  begin

  process(clk,re)

  variable tmp:std_logic_vector(2 downto 0); begin

  if re='1' then tmp:=(others=>'0'); elsif clk'event and clk='1' then if tmp<7 then tmp:=tmp+1;

  else tmp:=(others=>'0'); end if; end if; q<=tmp; end process; end count_8;

  2. 18进制计数器:

  18进制计数器同样有两个功能,第一他为138译码器输入控制信号,是138译码器工作,第二控制字显示控制模块,控制字显示控制模块显示的汉字的时序,主要程序如下: Library ieee;

  USE ieee.std_logic_1164.ALL; USE ieee.std_logic_arith.ALL; USE ieee.std_logic_unsigned.ALL; ENTITY js_18 IS

  Port(clk,re:in std_logic;

  q:out std_logic_vector(2 downto 0)); end js_8;

  architecture count_18 of js_18 is begin

  process(clk,re)

  variable tmp:std_logic_vector(2 downto 0); begin

  if re='1' then tmp:=(others=>'0'); elsif clk'event and clk='1' then if tmp<17 then tmp:=tmp+1;

  else tmp:=(others=>'0'); end if; end if; q<=tmp; end process;

  end count_18;

  3、字显示控制模块:

  字显示控制模块主要是实现字形的显示功能,首先将要现实的字形代码用点阵式的形式输入程序,然后配合8进制和12进制计数器将要现实的字形码输入8*8的点阵,配合138

【led实习报告样本】相关文章:

1.机电实习报告样本

2.暑期实习报告样本

3.会计实习报告样本

4.会计实习报告的样本

5.LED在照明工程中的应用-LED技术论文

6.环保论文:LED论文

7.LED光源使用论文

8.LED论文大全


本文来源http://www.010zaixian.com/shiyongwen/shixibaogao/2146166.htm
以上内容来自互联网,请自行判断内容的正确性。若本站收录的信息无意侵犯了贵司版权,请给我们来信(zaixianzuowenhezi@gmail.com),我们会及时处理和回复,谢谢.