欢迎来到010在线作文网!

《十进制计数法》优秀教学设计

教学设计 时间:2021-08-31 手机版

《十进制计数法》优秀教学设计范文

  教学目标:

  1、掌握千亿以内的数位顺序表和十进制计数法,会根据数级正确地读千亿以内的数。

  2、培养学生抽象、概括和类推迁移的能力。

  二、教学重点:掌握十进制计数法,初步认识亿以上的数。

  三、教学难点:掌握十进制计数法。

  四、教学内容:

  (一)复习旧知。

  1、表示物体个数的1、2、3、4、5、6、7、8、9、10、11、12、都是( )。

  2、一个物体也没有,用( )表示。0也是自然数。 最小的自然数是( ),( )最大的自然数,自然数的个数是无限的。

  (二)什么是十进制计数法?

  1、师:你现在知道了哪些关于十进制计数法的知识?

  各小组到黑板上展示。

  2、学生展示数位顺序表,其他同学评价交流。

  3、师着重引导学生理解:

  ①每相邻的两个计数单位之间的进率是十。

  ②数位与计数单位的.区别。

  4、你们还有什么疑难问题吗?

  (三)、练习巩固。

  1.填一填

  ①一百亿有( )个十亿,( )个百亿是一千亿。

  ②从个位起,第( )位是万位,第( )位是亿位。

  ③和亿位相邻的两个数位是( )和( )。

  ④一个数由7个十亿、5个百万、2个百组成,这个数是( )。

  2、判断题。

  ①每两个计数单位间的进率是十。( )

  ②和千万位相邻的两个计数单位是亿位和百万位。( )

  ③一个数的最高位是百万位,这个数一定不会小于一百万。( )

  ④自然数都比0大。( )

  (四)、课堂总结。

【《十进制计数法》优秀教学设计范文】相关文章:

1.《十进制计数法》教案设计

2.《数的产生与十进制计数法》教学设计

3.《数的产生、十进制计数法》的教学设计

4.四年级数学《十进制计数法》教学设计

5.小学数学《十进制计数法》说课稿

6.十进制计数法教案设计

7.《科学计数法》课件

8.四年级上十进制计数法练习题


本文来源http://www.010zaixian.com/yuwen/jiaoxuesheji/2364625.htm
以上内容来自互联网,请自行判断内容的正确性。若本站收录的信息无意侵犯了贵司版权,请给我们来信(zaixianzuowenhezi@gmail.com),我们会及时处理和回复,谢谢.